RS、JK、D、T和T’触发器的逻辑功能及使用方法答:library ieee;use ieee.std_logic_1164.all;entity jkdff is port(pset,clr,clk,j,k:in std_logic;q,qb:out std_logic);end entity jkdff;architecture rtl of jkdff is signal q_s,qb_s:std_logic;begin process(pset,clr,clk,j,k)is begin if(pset='0')then q_s<='1';qb_s<...