如何用VHDL语言实现8个复位按键控制8个数码管——按一次就自动加1即从0到9

我用的是康芯的板,主要要求是按一次数码管就加1并显示出来,即按8次的话数码管就从0变化到9,在按就重复!
给我写一下代码啊!谢谢了! 数码管是独立的啊!

是8个数码管?
首先用8个十进制计数器互相连接,形成10X8=100000000进制计数器
然后让按键作为一个clk输入,你可以设置成 if clk'event and clk='1'上升沿触发计数,或者下降沿都可以 按键按一次会产生一个脉冲的
最后把每一个计数器结果分别译码输出到对应的数码管
温馨提示:答案为网友推荐,仅供参考
第1个回答  2010-05-22
你8个数码管是独立的 ,,还是相连的????
第2个回答  2010-05-21
按数码管是啥意思啊?