vhdl语言有哪几种类操作符?

如题所述

VHDL 提供了6种预定义的运算操作符:

    赋值运算符:赋值运算符用来给信号、变量和常数赋值。

   2.逻辑操作符:逻辑运算的操作数必须是BIT,STD_LOGIC或STD_ULOGIC类型的数据(或者是这些数据类型的扩展,即BIT_VECTOR,STD_LOGIC_VECTOR或STD_ULOGIC_VECTOR)。

   3.算术操作符:用来执行算术运算操作。操作数可以是INTEGER,SIGNED,UNSIGNED或REAL数据类型,其中REAL类型是不可综合的。如果声明了ieee库中的包集std_logic_signed和std_logic_unsigned,即可对STD_LOGIC_VECTOR类型的数据进行加法和减法运算。

   4.关系操作符      操作数必须是BIT, BIT_VECTOR, INTEGER。

   5.并置操作符   并置运算符用于位和位矢量的拼接,其操作数可以是支持逻辑运算的任何数据。

温馨提示:答案为网友推荐,仅供参考
第1个回答  2014-04-03
通常人们用“运算符”而不用“操作符”一词。VHDL中,运算符分为算术运算、逻辑运算、关系运算和连接运算4大类。
相似回答