领控plc模拟量输出怎么写

如题所述

用DA模块,通过写入寄存器的值来改变输出模块的电压或电流。
可以使用FX1N-2AD-BD扩展板,通过写入寄存器的值改变模拟量输出。
资料拓展:
模拟量输入模块的功能是将模拟过程信号转换为数字格式。
模拟量输入流程是通过传感器把物理量转变为电信号,这个电信号可能是离散性的电信号,需要通过变送器转换为标准的模拟量电压或电流信号,模拟量模块接收到标准的电信号后通过A/D转换,转变为与模拟量成比例的数字量信号,并存放在缓冲器里,待CPU读取模拟量模块缓冲器的内容,并传送到指定的存储区中待处理。
温馨提示:答案为网友推荐,仅供参考