VHDL中变量赋值为NOT是什么意思?

如题所述

应该是NOT 后面还有一个逻辑属性的数(std_logic)表示对这个数取反~
温馨提示:答案为网友推荐,仅供参考