哪位大哥给小弟一个用vhdl 语言编写的0到99的计数器,

哪位大哥给小弟一个用vhdl 语言编写的0到99的计数器,要求有计数使能端,清零端,计到99的时候有进位输出并且可以显示在数码管上!谢谢!没有分了

--随便写写,不是最优的,另外复位信号时同步复位
process(clk)
if( clk'event and clk='1')then
if(rst='1')then
count<=(others=>'0');
elsif(clken='1')then
if(count=99)then
count<=(others=>'0');
else
count<=count+1;
end if;
end if;
end if;
end process;
温馨提示:答案为网友推荐,仅供参考